AMBA APB总线——AHB到APB总线转换桥实现_ahb转apb-程序员宅基地

技术标签: 硬件工程  AMBA  芯片  systemverilog  intel  verilog  


前言

前面分析了AHB总线协议。接下来分析APB总线协议。


一、APB总线接口

PCLK APB总线时钟。

PRESETn APB总线复位。低有效。

PADDR 地址总线。

PSELx 从设备选择。

PENABLE APB传输选通。

PWRITE 高为写传输,低为读。

PRDATA 读数据总线。

PWDATA 写数据总线。

接口信号定义如下:

interface   apb_slv_intf #(
    parameter   AW  = 32,
                DW  = 32
) (
    input   logic       PCLK,
    input   logic       PRESETn
);
    logic               PSEL;
    logic               PENABLE;
    logic   [AW-1:0]    PADDR;
    logic               PWRITE;
    logic   [DW-1:0]    PWDATA;

    logic   [DW-1:0]    PRDATA;


    modport m (
        input   PRDATA,
        output  PSEL, PENABLE, PADDR, PWRITE, PWDATA
    );

    modport s (
        input   PSEL, PENABLE, PADDR, PWRITE, PWDATA,
        output  PRDATA
    );

endinterface: apb_slv_intf

二、APB总线时序图

1.写传输

写传输

2.读传输

在这里插入图片描述
  注意在PENABLE信号有效后从设备需要给出有效数据/读取有效数据。


三、AHB总线到APB总线转换桥

module ahb2apb_bridge #(
    parameter   AHB_AW  = 32,
                AHB_DW  = 32,
                APB_AW  = 32,
                APB_DW  = 32,
                NSLV    = 16
) (
    input   logic   HCLK,
    input   logic   HRESETn,
    input   logic   PCLK,
    input   logic   PRESETn,
    ahb_slv_intf.s  ahb,
    apb_slv_intf.m  apbv[NSLV]
);

    logic   ahb_work;
    logic   apb_work;

    genvar  i;

    typedef enum logic [1:0] {
    
        AHB_IDLE    = 2'b00,
        AHB_WRITE   = 2'b01,
        AHB_READ    = 2'b10,
        AHB_WAIT    = 2'b11
    } ahb_state_e;

    // Signal of AHB Domain
    struct {
    
        logic                   work;
        logic   [AHB_AW-1:0]    addr;
        logic   [AHB_DW-1:0]    data;
        logic                   write;
        ahb_state_e             cstate, nstate;
    } ahbd;

    typedef enum logic [1:0] {
    
        APB_IDLE    = 2'b00,
        APB_WRITE   = 2'b01,
        APB_READ    = 2'b10
    } apb_state_e;

    // Signal of APB Domain
    struct {
    
        logic                   work;
        logic   [APB_DW-1:0]    data[NSLV];
        logic                   PSEL[NSLV];
        logic                   PENABLE[NSLV];
        apb_state_e             cstate, nstate;
    } apbd;


    // AHB Control Logic
    always_comb begin
        case (ahbd.cstate)
            AHB_IDLE: begin
                if (ahb.HSEL && ahb.HTRANS == HTRANS_NONSEQ) begin
                    if (ahb.HWRITE)
                        ahbd.nstate = AHB_WRITE;
                    else
                        ahbd.nstate = AHB_READ;
                end
                else
                    ahbd.nstate = AHB_IDLE;
            end
            AHB_WRITE: begin
                if (apbd.work)
                    ahbd.nstate = AHB_WAIT;
                else
                    ahbd.nstate = AHB_WRITE;
            end
            AHB_READ: begin
                if (apbd.work)
                    ahbd.nstate = AHB_WAIT;
                else
                    ahbd.nstate = AHB_READ;
            end
            AHB_WAIT: begin
                if (!apbd.work)
                    ahbd.nstate = AHB_IDLE;
                else
                    ahbd.nstate = AHB_WAIT;
            end
            default: ahbd.nstate = AHB_IDLE;
        endcase
    end

    always_ff @(posedge HCLK or negedge HRESETn) begin
        if (!HRESETn)
            ahbd.cstate <= AHB_IDLE;
        else
            ahbd.cstate <= ahbd.nstate;
    end

    always_ff @(posedge HCLK or negedge HRESETn) begin
        if (!HRESETn) begin
            ahbd.work   <= 1'b0;
            ahbd.addr   <= '0;
            ahbd.data   <= '0;
            ahbd.write  <= 1'b0;
            ahb.HREADY  <= 1'b1;
            ahb.HRDATA[APB_DW-1:0]  <= '0;
        end
        else begin
            case (ahbd.cstate)
                AHB_IDLE: begin
                    if (ahb.HSEL && ahb.HTRANS == HTRANS_NONSEQ) begin
                        ahbd.addr   <= ahb.HADDR;
                        ahbd.write  <= ahb.HWRITE;
                        ahb.HREADY  <= 1'b0;
                    end
                    else begin
                        ahbd.addr   <= '0;
                        ahbd.write  <= 1'b0;
                        ahb.HREADY  <= 1'b1;
                    end
                    ahbd.work   <= 1'b0;
                    ahbd.data   <= '0;
                    ahb.HRDATA[APB_DW-1:0]  <= apbd.data[ahbd.addr[AHB_AW-5:AHB_AW-8]];
                end
                AHB_WRITE: begin
                    ahb.HREADY  <= 1'b0;
                    ahbd.work   <= 1'b1;
                    ahbd.data   <= ahb.HWDATA;
                    ahb.HRDATA[APB_DW-1:0]  <= '0;
                end
                AHB_READ: begin
                    ahbd.work   <= 1'b1;
                    ahbd.data   <= '0;
                    ahb.HREADY  <= 1'b0;
                    ahb.HRDATA[APB_DW-1:0]  <= '0;
                end
                AHB_WAIT: begin
                    ahbd.work   <= 1'b0;
                    ahb.HREADY  <= 1'b0;
                    ahb.HRDATA[APB_DW-1:0]  <= '0;
                end
            endcase
        end
    end

    assign  ahb.HRESP = HRESP_OKAY;
    // assign  ahb.HRDATA[AHB_DW-1:APB_DW] = '0;


    // APB Control Logic
    always_comb begin
        case (apbd.cstate)
            APB_IDLE: begin
                if (ahbd.work) begin
                    if (ahbd.write)
                        apbd.nstate = APB_WRITE;
                    else
                        apbd.nstate = APB_READ;
                end
                else
                    apbd.nstate = APB_IDLE;
            end
            APB_WRITE:  apbd.nstate = APB_IDLE;
            APB_READ:   apbd.nstate = APB_IDLE;
            default:    apbd.nstate = APB_IDLE;
        endcase
    end

    always_ff @(posedge PCLK or negedge PRESETn) begin
        if (!PRESETn)
            apbd.cstate <= APB_IDLE;
        else
            apbd.cstate <= apbd.nstate;
    end

    always_ff @(posedge PCLK or negedge PRESETn) begin
        if (!PRESETn) begin
            apbd.work   <= 1'b0;
            for (int j = 0; j < NSLV; j++) begin
                apbd.PSEL[j]    <= 1'b0;
                apbd.PENABLE[j] <= 1'b0;
            end
        end
        else begin
            case (apbd.cstate)
                APB_IDLE: begin
                    if (ahbd.work) begin
                        apbd.work <= 1'b1;
                        for (int j = 0; j < NSLV; j++)
                            apbd.PSEL[j] <= (ahbd.addr[AHB_AW-5:AHB_AW-8] == j) ? 1'b1 : 1'b0;
                    end
                    else begin
                        apbd.work <= 1'b0;
                        for (int j = 0; j < NSLV; j++)
                            apbd.PSEL[j] <= 1'b0;
                    end
                    for (int j = 0; j < NSLV; j++)
                        apbd.PENABLE[j] <= 1'b0;
                end
                APB_WRITE: begin
                    apbd.work <= 1'b1;
                    for (int j = 0; j < NSLV; j++)
                        apbd.PENABLE[j] <= (ahbd.addr[AHB_AW-5:AHB_AW-8] == j) ? 1'b1 : 1'b0;
                end
                APB_READ: begin
                    apbd.work <= 1'b1;
                    for (int j = 0; j < NSLV; j++)
                        apbd.PENABLE[j] <= (ahbd.addr[AHB_AW-5:AHB_AW-8] == j) ? 1'b1 : 1'b0;
                end
            endcase
        end
    end

    generate
        for (i = 0; i < NSLV; i++) begin: apbv_loop
            assign apbv[i].PADDR    = {
    4'h0, ahbd.addr[APB_AW-4-1:0]};
            assign apbv[i].PWRITE   = ahbd.write;
            assign apbv[i].PWDATA   = ahbd.data[APB_DW-1:0];
            assign apbd.data[i]     = apbv[i].PRDATA;
            assign apbv[i].PSEL     = apbd.PSEL[i];
            assign apbv[i].PENABLE  = apbd.PENABLE[i];
        end
    endgenerate

endmodule: ahb2apb_bridge

转载自:https://www.cnblogs.com/lyuyangly/p/4853921.html

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_44582960/article/details/122128420

智能推荐

使用nginx解决浏览器跨域问题_nginx不停的xhr-程序员宅基地

文章浏览阅读1k次。通过使用ajax方法跨域请求是浏览器所不允许的,浏览器出于安全考虑是禁止的。警告信息如下:不过jQuery对跨域问题也有解决方案,使用jsonp的方式解决,方法如下:$.ajax({ async:false, url: 'http://www.mysite.com/demo.do', // 跨域URL ty..._nginx不停的xhr

在 Oracle 中配置 extproc 以访问 ST_Geometry-程序员宅基地

文章浏览阅读2k次。关于在 Oracle 中配置 extproc 以访问 ST_Geometry,也就是我们所说的 使用空间SQL 的方法,官方文档链接如下。http://desktop.arcgis.com/zh-cn/arcmap/latest/manage-data/gdbs-in-oracle/configure-oracle-extproc.htm其实简单总结一下,主要就分为以下几个步骤。..._extproc

Linux C++ gbk转为utf-8_linux c++ gbk->utf8-程序员宅基地

文章浏览阅读1.5w次。linux下没有上面的两个函数,需要使用函数 mbstowcs和wcstombsmbstowcs将多字节编码转换为宽字节编码wcstombs将宽字节编码转换为多字节编码这两个函数,转换过程中受到系统编码类型的影响,需要通过设置来设定转换前和转换后的编码类型。通过函数setlocale进行系统编码的设置。linux下输入命名locale -a查看系统支持的编码_linux c++ gbk->utf8

IMP-00009: 导出文件异常结束-程序员宅基地

文章浏览阅读750次。今天准备从生产库向测试库进行数据导入,结果在imp导入的时候遇到“ IMP-00009:导出文件异常结束” 错误,google一下,发现可能有如下原因导致imp的数据太大,没有写buffer和commit两个数据库字符集不同从低版本exp的dmp文件,向高版本imp导出的dmp文件出错传输dmp文件时,文件损坏解决办法:imp时指定..._imp-00009导出文件异常结束

python程序员需要深入掌握的技能_Python用数据说明程序员需要掌握的技能-程序员宅基地

文章浏览阅读143次。当下是一个大数据的时代,各个行业都离不开数据的支持。因此,网络爬虫就应运而生。网络爬虫当下最为火热的是Python,Python开发爬虫相对简单,而且功能库相当完善,力压众多开发语言。本次教程我们爬取前程无忧的招聘信息来分析Python程序员需要掌握那些编程技术。首先在谷歌浏览器打开前程无忧的首页,按F12打开浏览器的开发者工具。浏览器开发者工具是用于捕捉网站的请求信息,通过分析请求信息可以了解请..._初级python程序员能力要求

Spring @Service生成bean名称的规则(当类的名字是以两个或以上的大写字母开头的话,bean的名字会与类名保持一致)_@service beanname-程序员宅基地

文章浏览阅读7.6k次,点赞2次,收藏6次。@Service标注的bean,类名:ABDemoService查看源码后发现,原来是经过一个特殊处理:当类的名字是以两个或以上的大写字母开头的话,bean的名字会与类名保持一致public class AnnotationBeanNameGenerator implements BeanNameGenerator { private static final String C..._@service beanname

随便推点

二叉树的各种创建方法_二叉树的建立-程序员宅基地

文章浏览阅读6.9w次,点赞73次,收藏463次。1.前序创建#include&lt;stdio.h&gt;#include&lt;string.h&gt;#include&lt;stdlib.h&gt;#include&lt;malloc.h&gt;#include&lt;iostream&gt;#include&lt;stack&gt;#include&lt;queue&gt;using namespace std;typed_二叉树的建立

解决asp.net导出excel时中文文件名乱码_asp.net utf8 导出中文字符乱码-程序员宅基地

文章浏览阅读7.1k次。在Asp.net上使用Excel导出功能,如果文件名出现中文,便会以乱码视之。 解决方法: fileName = HttpUtility.UrlEncode(fileName, System.Text.Encoding.UTF8);_asp.net utf8 导出中文字符乱码

笔记-编译原理-实验一-词法分析器设计_对pl/0作以下修改扩充。增加单词-程序员宅基地

文章浏览阅读2.1k次,点赞4次,收藏23次。第一次实验 词法分析实验报告设计思想词法分析的主要任务是根据文法的词汇表以及对应约定的编码进行一定的识别,找出文件中所有的合法的单词,并给出一定的信息作为最后的结果,用于后续语法分析程序的使用;本实验针对 PL/0 语言 的文法、词汇表编写一个词法分析程序,对于每个单词根据词汇表输出: (单词种类, 单词的值) 二元对。词汇表:种别编码单词符号助记符0beginb..._对pl/0作以下修改扩充。增加单词

android adb shell 权限,android adb shell权限被拒绝-程序员宅基地

文章浏览阅读773次。我在使用adb.exe时遇到了麻烦.我想使用与bash相同的adb.exe shell提示符,所以我决定更改默认的bash二进制文件(当然二进制文件是交叉编译的,一切都很完美)更改bash二进制文件遵循以下顺序> adb remount> adb push bash / system / bin /> adb shell> cd / system / bin> chm..._adb shell mv 权限

投影仪-相机标定_相机-投影仪标定-程序员宅基地

文章浏览阅读6.8k次,点赞12次,收藏125次。1. 单目相机标定引言相机标定已经研究多年,标定的算法可以分为基于摄影测量的标定和自标定。其中,应用最为广泛的还是张正友标定法。这是一种简单灵活、高鲁棒性、低成本的相机标定算法。仅需要一台相机和一块平面标定板构建相机标定系统,在标定过程中,相机拍摄多个角度下(至少两个角度,推荐10~20个角度)的标定板图像(相机和标定板都可以移动),即可对相机的内外参数进行标定。下面介绍张氏标定法(以下也这么称呼)的原理。原理相机模型和单应矩阵相机标定,就是对相机的内外参数进行计算的过程,从而得到物体到图像的投影_相机-投影仪标定

Wayland架构、渲染、硬件支持-程序员宅基地

文章浏览阅读2.2k次。文章目录Wayland 架构Wayland 渲染Wayland的 硬件支持简 述: 翻译一篇关于和 wayland 有关的技术文章, 其英文标题为Wayland Architecture .Wayland 架构若是想要更好的理解 Wayland 架构及其与 X (X11 or X Window System) 结构;一种很好的方法是将事件从输入设备就开始跟踪, 查看期间所有的屏幕上出现的变化。这就是我们现在对 X 的理解。 内核是从一个输入设备中获取一个事件,并通过 evdev 输入_wayland

推荐文章

热门文章

相关标签